[dpdk-dev] net/ixgbe: fix API comments for doxygen

Message ID 20170127122720.13768-3-ferruh.yigit@intel.com (mailing list archive)
State Accepted, archived
Delegated to: Ferruh Yigit
Headers

Checks

Context Check Description
ci/checkpatch warning coding style issues
ci/Intel compilation success Compilation OK

Commit Message

Ferruh Yigit Jan. 27, 2017, 12:27 p.m. UTC
  Fixes: 57aa1fd284d5 ("net/ixgbe: move set VF functions from the ethdev")

Signed-off-by: Ferruh Yigit <ferruh.yigit@intel.com>
---
 drivers/net/ixgbe/rte_pmd_ixgbe.h | 8 ++++----
 1 file changed, 4 insertions(+), 4 deletions(-)
  

Comments

Ferruh Yigit Jan. 27, 2017, 1:57 p.m. UTC | #1
On 1/27/2017 12:27 PM, Ferruh Yigit wrote:
> Fixes: 57aa1fd284d5 ("net/ixgbe: move set VF functions from the ethdev")
> 
> Signed-off-by: Ferruh Yigit <ferruh.yigit@intel.com>

Applied to dpdk-next-net/master, thanks.
  

Patch

diff --git a/drivers/net/ixgbe/rte_pmd_ixgbe.h b/drivers/net/ixgbe/rte_pmd_ixgbe.h
index d4efe07..4d7b507 100644
--- a/drivers/net/ixgbe/rte_pmd_ixgbe.h
+++ b/drivers/net/ixgbe/rte_pmd_ixgbe.h
@@ -293,7 +293,7 @@  int rte_pmd_ixgbe_macsec_select_rxsa(uint8_t port, uint8_t idx, uint8_t an,
 *   The port identifier of the Ethernet device.
 * @param vf
 *   VF id.
-* @param rx_mode
+* @param rx_mask
 *    The RX mode mask, which is one or more of accepting Untagged Packets,
 *    packets that match the PFUTA table, Broadcast and Multicast Promiscuous.
 *    ETH_VMDQ_ACCEPT_UNTAG,ETH_VMDQ_ACCEPT_HASH_UC,
@@ -353,9 +353,9 @@  rte_pmd_ixgbe_set_vf_tx(uint8_t port, uint16_t vf, uint8_t on);
 * Enable/Disable hardware VF VLAN filtering by an Ethernet device of
 * received VLAN packets tagged with a given VLAN Tag Identifier.
 *
-* @param port id
+* @param port
 *   The port identifier of the Ethernet device.
-* @param vlan_id
+* @param vlan
 *   The VLAN Tag Identifier whose filtering must be enabled or disabled.
 * @param vf_mask
 *    Bitmap listing which VFs participate in the VLAN filtering.
@@ -374,7 +374,7 @@  rte_pmd_ixgbe_set_vf_vlan_filter(uint8_t port, uint16_t vlan, uint64_t vf_mask,
 /**
  * Set the rate limitation for a vf on an Ethernet device.
  *
- * @param port_id
+ * @param port
  *   The port identifier of the Ethernet device.
  * @param vf
  *   VF id.